summaryrefslogtreecommitdiffstats
path: root/kate/data/octave.xml
diff options
context:
space:
mode:
Diffstat (limited to 'kate/data/octave.xml')
-rw-r--r--kate/data/octave.xml2219
1 files changed, 2219 insertions, 0 deletions
diff --git a/kate/data/octave.xml b/kate/data/octave.xml
new file mode 100644
index 000000000..a01ed3eb4
--- /dev/null
+++ b/kate/data/octave.xml
@@ -0,0 +1,2219 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<!--
+ ====================================================================
+ Octave syntax highlighting file for the KDE editors Kate and Kwrite
+ ====================================================================
+ based on Octave 2.1.64
+ function and variable list obtained by dispatch_help()'s output
+
+ Change log:
+ 16-Dec-04 Created from Matlab and Scilab files.
+
+ Author: Federico Zenith, Norwegian University of Science and Technology
+ Thanks to Luis Silvestre for previous version and suggestions
+-->
+
+
+<language name="Octave" version="1.01" kateversion="2.3" section="Scientific" extensions="*.octave;*.m;*.M" mimetype="text/octave" casesensitive="1" license="GPL" author="Luis Silvestre and Federico Zenith">
+
+ <highlighting>
+
+ <!-- Reserved keywords in Octave -->
+ <list name="keywords">
+ <item> all_va_args </item>
+ <item> break </item>
+ <item> case </item>
+ <item> continue </item>
+ <item> else </item>
+ <item> elseif </item>
+ <item> end_unwind_protect </item>
+ <item> global </item>
+ <item> gplot </item>
+ <item> gsplot </item>
+ <item> otherwise </item>
+ <item> persistent </item>
+ <item> replot </item>
+ <item> return </item>
+ <item> static </item>
+ <item> until </item>
+ <item> unwind_protect </item>
+ <item> unwind_protect_cleanup </item>
+ <item> varargin </item>
+ <item> varargout </item>
+ </list>
+
+ <list name="builtin">
+ <item> argv </item>
+ <item> e </item>
+ <item> eps </item>
+ <item> false </item>
+ <item> F_DUPFD </item>
+ <item> F_GETFD </item>
+ <item> F_GETFL </item>
+ <item> filesep </item>
+ <item> F_SETFD </item>
+ <item> F_SETFL </item>
+ <item> i </item>
+ <item> I </item>
+ <item> inf </item>
+ <item> Inf </item>
+ <item> j </item>
+ <item> J </item>
+ <item> NA </item>
+ <item> nan </item>
+ <item> NaN </item>
+ <item> O_APPEND </item>
+ <item> O_ASYNC </item>
+ <item> O_CREAT </item>
+ <item> OCTAVE_HOME </item>
+ <item> OCTAVE_VERSION </item>
+ <item> O_EXCL </item>
+ <item> O_NONBLOCK </item>
+ <item> O_RDONLY </item>
+ <item> O_RDWR </item>
+ <item> O_SYNC </item>
+ <item> O_TRUNC </item>
+ <item> O_WRONLY </item>
+ <item> pi </item>
+ <item> program_invocation_name </item>
+ <item> program_name </item>
+ <item> P_tmpdir </item>
+ <item> realmax </item>
+ <item> realmin </item>
+ <item> SEEK_CUR </item>
+ <item> SEEK_END </item>
+ <item> SEEK_SET </item>
+ <item> SIG </item>
+ <item> stderr </item>
+ <item> stdin </item>
+ <item> stdout </item>
+ <item> true </item>
+ <item> ans </item>
+ <item> automatic_replot </item>
+ <item> beep_on_error </item>
+ <item> completion_append_char </item>
+ <item> crash_dumps_octave_core </item>
+ <item> current_script_file_name </item>
+ <item> debug_on_error </item>
+ <item> debug_on_interrupt </item>
+ <item> debug_on_warning </item>
+ <item> debug_symtab_lookups </item>
+ <item> DEFAULT_EXEC_PATH </item>
+ <item> DEFAULT_LOADPATH </item>
+ <item> default_save_format </item>
+ <item> echo_executing_commands </item>
+ <item> EDITOR </item>
+ <item> EXEC_PATH </item>
+ <item> FFTW_WISDOM_PROGRAM </item>
+ <item> fixed_point_format </item>
+ <item> gnuplot_binary </item>
+ <item> gnuplot_command_axes </item>
+ <item> gnuplot_command_end </item>
+ <item> gnuplot_command_plot </item>
+ <item> gnuplot_command_replot </item>
+ <item> gnuplot_command_splot </item>
+ <item> gnuplot_command_title </item>
+ <item> gnuplot_command_using </item>
+ <item> gnuplot_command_with </item>
+ <item> gnuplot_has_frames </item>
+ <item> history_file </item>
+ <item> history_size </item>
+ <item> ignore_function_time_stamp </item>
+ <item> IMAGEPATH </item>
+ <item> INFO_FILE </item>
+ <item> INFO_PROGRAM </item>
+ <item> __kluge_procbuf_delay__ </item>
+ <item> LOADPATH </item>
+ <item> MAKEINFO_PROGRAM </item>
+ <item> max_recursion_depth </item>
+ <item> octave_core_file_format </item>
+ <item> octave_core_file_limit </item>
+ <item> octave_core_file_name </item>
+ <item> output_max_field_width </item>
+ <item> output_precision </item>
+ <item> page_output_immediately </item>
+ <item> PAGER </item>
+ <item> page_screen_output </item>
+ <item> print_answer_id_name </item>
+ <item> print_empty_dimensions </item>
+ <item> print_rhs_assign_val </item>
+ <item> PS1 </item>
+ <item> PS2 </item>
+ <item> PS4 </item>
+ <item> save_header_format_string </item>
+ <item> save_precision </item>
+ <item> saving_history </item>
+ <item> sighup_dumps_octave_core </item>
+ <item> sigterm_dumps_octave_core </item>
+ <item> silent_functions </item>
+ <item> split_long_rows </item>
+ <item> string_fill_char </item>
+ <item> struct_levels_to_print </item>
+ <item> suppress_verbose_help_message </item>
+ <item> variables_can_hide_functions </item>
+ <item> warn_assign_as_truth_value </item>
+ <item> warn_divide_by_zero </item>
+ <item> warn_empty_list_elements </item>
+ <item> warn_fortran_indexing </item>
+ <item> warn_function_name_clash </item>
+ <item> warn_future_time_stamp </item>
+ <item> warn_imag_to_real </item>
+ <item> warn_matlab_incompatible </item>
+ <item> warn_missing_semicolon </item>
+ <item> warn_neg_dim_as_zero </item>
+ <item> warn_num_to_str </item>
+ <item> warn_precedence_change </item>
+ <item> warn_reload_forces_clear </item>
+ <item> warn_resize_on_range_error </item>
+ <item> warn_separator_insert </item>
+ <item> warn_single_quote_string </item>
+ <item> warn_str_to_num </item>
+ <item> warn_undefined_return_values </item>
+ <item> warn_variable_switch_label </item>
+ <item> whos_line_format </item>
+ </list>
+
+ <list name="commands">
+ <item> casesen </item>
+ <item> cd </item>
+ <item> chdir </item>
+ <item> clear </item>
+ <item> dbclear </item>
+ <item> dbstatus </item>
+ <item> dbstop </item>
+ <item> dbtype </item>
+ <item> dbwhere </item>
+ <item> diary </item>
+ <item> echo </item>
+ <item> edit_history </item>
+ <item> __end__ </item>
+ <item> format </item>
+ <item> gset </item>
+ <item> gshow </item>
+ <item> help </item>
+ <item> history </item>
+ <item> hold </item>
+ <item> iskeyword </item>
+ <item> isvarname </item>
+ <item> load </item>
+ <item> ls </item>
+ <item> mark_as_command </item>
+ <item> mislocked </item>
+ <item> mlock </item>
+ <item> more </item>
+ <item> munlock </item>
+ <item> run_history </item>
+ <item> save </item>
+ <item> set </item>
+ <item> show </item>
+ <item> type </item>
+ <item> unmark_command </item>
+ <item> which </item>
+ <item> who </item>
+ <item> whos </item>
+ </list>
+
+ <list name="functions">
+ <item> abs </item>
+ <item> acos </item>
+ <item> acosh </item>
+ <item> all </item>
+ <item> angle </item>
+ <item> any </item>
+ <item> append </item>
+ <item> arg </item>
+ <item> argnames </item>
+ <item> asin </item>
+ <item> asinh </item>
+ <item> assignin </item>
+ <item> atan </item>
+ <item> atan2 </item>
+ <item> atanh </item>
+ <item> atexit </item>
+ <item> bitand </item>
+ <item> bitmax </item>
+ <item> bitor </item>
+ <item> bitshift </item>
+ <item> bitxor </item>
+ <item> casesen </item>
+ <item> cat </item>
+ <item> cd </item>
+ <item> ceil </item>
+ <item> cell </item>
+ <item> cell2struct </item>
+ <item> cellstr </item>
+ <item> char </item>
+ <item> chdir </item>
+ <item> class </item>
+ <item> clc </item>
+ <item> clear </item>
+ <item> clearplot </item>
+ <item> clg </item>
+ <item> closeplot </item>
+ <item> completion_matches </item>
+ <item> conj </item>
+ <item> conv </item>
+ <item> convmtx </item>
+ <item> cos </item>
+ <item> cosh </item>
+ <item> cumprod </item>
+ <item> cumsum </item>
+ <item> dbclear </item>
+ <item> dbstatus </item>
+ <item> dbstop </item>
+ <item> dbtype </item>
+ <item> dbwhere </item>
+ <item> deconv </item>
+ <item> det </item>
+ <item> dftmtx </item>
+ <item> diag </item>
+ <item> diary </item>
+ <item> disp </item>
+ <item> document </item>
+ <item> do_string_escapes </item>
+ <item> double </item>
+ <item> dup2 </item>
+ <item> echo </item>
+ <item> edit_history </item>
+ <item> __end__ </item>
+ <item> erf </item>
+ <item> erfc </item>
+ <item> ERRNO </item>
+ <item> error </item>
+ <item> __error_text__ </item>
+ <item> error_text </item>
+ <item> eval </item>
+ <item> evalin </item>
+ <item> exec </item>
+ <item> exist </item>
+ <item> exit </item>
+ <item> exp </item>
+ <item> eye </item>
+ <item> fclose </item>
+ <item> fcntl </item>
+ <item> fdisp </item>
+ <item> feof </item>
+ <item> ferror </item>
+ <item> feval </item>
+ <item> fflush </item>
+ <item> fft </item>
+ <item> fgetl </item>
+ <item> fgets </item>
+ <item> fieldnames </item>
+ <item> file_in_loadpath </item>
+ <item> file_in_path </item>
+ <item> filter </item>
+ <item> find </item>
+ <item> find_first_of_in_loadpath </item>
+ <item> finite </item>
+ <item> fix </item>
+ <item> floor </item>
+ <item> fmod </item>
+ <item> fnmatch </item>
+ <item> fopen </item>
+ <item> fork </item>
+ <item> format </item>
+ <item> formula </item>
+ <item> fprintf </item>
+ <item> fputs </item>
+ <item> fread </item>
+ <item> freport </item>
+ <item> frewind </item>
+ <item> fscanf </item>
+ <item> fseek </item>
+ <item> ftell </item>
+ <item> func2str </item>
+ <item> functions </item>
+ <item> fwrite </item>
+ <item> gamma </item>
+ <item> gammaln </item>
+ <item> getegid </item>
+ <item> getenv </item>
+ <item> geteuid </item>
+ <item> getgid </item>
+ <item> getpgrp </item>
+ <item> getpid </item>
+ <item> getppid </item>
+ <item> getuid </item>
+ <item> glob </item>
+ <item> graw </item>
+ <item> gset </item>
+ <item> gshow </item>
+ <item> help </item>
+ <item> history </item>
+ <item> hold </item>
+ <item> home </item>
+ <item> horzcat </item>
+ <item> ifft </item>
+ <item> imag </item>
+ <item> inline </item>
+ <item> input </item>
+ <item> input_event_hook </item>
+ <item> int16 </item>
+ <item> int32 </item>
+ <item> int64 </item>
+ <item> int8 </item>
+ <item> intmax </item>
+ <item> intmin </item>
+ <item> inv </item>
+ <item> inverse </item>
+ <item> ipermute </item>
+ <item> isalnum </item>
+ <item> isalpha </item>
+ <item> isascii </item>
+ <item> isbool </item>
+ <item> iscell </item>
+ <item> iscellstr </item>
+ <item> ischar </item>
+ <item> iscntrl </item>
+ <item> iscomplex </item>
+ <item> isdigit </item>
+ <item> isempty </item>
+ <item> isfield </item>
+ <item> isfinite </item>
+ <item> isglobal </item>
+ <item> isgraph </item>
+ <item> ishold </item>
+ <item> isieee </item>
+ <item> isinf </item>
+ <item> iskeyword </item>
+ <item> islist </item>
+ <item> islogical </item>
+ <item> islower </item>
+ <item> ismatrix </item>
+ <item> isna </item>
+ <item> isnan </item>
+ <item> is_nan_or_na </item>
+ <item> isnumeric </item>
+ <item> isprint </item>
+ <item> ispunct </item>
+ <item> isreal </item>
+ <item> isspace </item>
+ <item> isstream </item>
+ <item> isstreamoff </item>
+ <item> isstruct </item>
+ <item> isupper </item>
+ <item> isvarname </item>
+ <item> isxdigit </item>
+ <item> kbhit </item>
+ <item> keyboard </item>
+ <item> kill </item>
+ <item> lasterr </item>
+ <item> lastwarn </item>
+ <item> length </item>
+ <item> lgamma </item>
+ <item> link </item>
+ <item> linspace </item>
+ <item> list </item>
+ <item> load </item>
+ <item> log </item>
+ <item> log10 </item>
+ <item> ls </item>
+ <item> lstat </item>
+ <item> lu </item>
+ <item> mark_as_command </item>
+ <item> mislocked </item>
+ <item> mkdir </item>
+ <item> mkfifo </item>
+ <item> mkstemp </item>
+ <item> mlock </item>
+ <item> more </item>
+ <item> munlock </item>
+ <item> nargin </item>
+ <item> nargout </item>
+ <item> native_float_format </item>
+ <item> ndims </item>
+ <item> nth </item>
+ <item> numel </item>
+ <item> octave_config_info </item>
+ <item> octave_tmp_file_name </item>
+ <item> ones </item>
+ <item> pause </item>
+ <item> pclose </item>
+ <item> permute </item>
+ <item> pipe </item>
+ <item> popen </item>
+ <item> printf </item>
+ <item> __print_symbol_info__ </item>
+ <item> __print_symtab_info__ </item>
+ <item> prod </item>
+ <item> purge_tmp_files </item>
+ <item> putenv </item>
+ <item> puts </item>
+ <item> pwd </item>
+ <item> quit </item>
+ <item> rank </item>
+ <item> readdir </item>
+ <item> readlink </item>
+ <item> read_readline_init_file </item>
+ <item> real </item>
+ <item> rehash </item>
+ <item> rename </item>
+ <item> reshape </item>
+ <item> reverse </item>
+ <item> rmdir </item>
+ <item> rmfield </item>
+ <item> roots </item>
+ <item> round </item>
+ <item> run_history </item>
+ <item> save </item>
+ <item> scanf </item>
+ <item> set </item>
+ <item> shell_cmd </item>
+ <item> show </item>
+ <item> sign </item>
+ <item> sin </item>
+ <item> sinh </item>
+ <item> size </item>
+ <item> sizeof </item>
+ <item> sleep </item>
+ <item> sort </item>
+ <item> source </item>
+ <item> splice </item>
+ <item> sprintf </item>
+ <item> sqrt </item>
+ <item> squeeze </item>
+ <item> sscanf </item>
+ <item> stat </item>
+ <item> str2func </item>
+ <item> streamoff </item>
+ <item> struct </item>
+ <item> struct2cell </item>
+ <item> sum </item>
+ <item> sumsq </item>
+ <item> symlink </item>
+ <item> system </item>
+ <item> tan </item>
+ <item> tanh </item>
+ <item> tilde_expand </item>
+ <item> tmpfile </item>
+ <item> tmpnam </item>
+ <item> toascii </item>
+ <item> __token_count__ </item>
+ <item> tolower </item>
+ <item> toupper </item>
+ <item> type </item>
+ <item> typeinfo </item>
+ <item> uint16 </item>
+ <item> uint32 </item>
+ <item> uint64 </item>
+ <item> uint8 </item>
+ <item> umask </item>
+ <item> undo_string_escapes </item>
+ <item> unlink </item>
+ <item> unmark_command </item>
+ <item> usage </item>
+ <item> usleep </item>
+ <item> va_arg </item>
+ <item> va_start </item>
+ <item> vectorize </item>
+ <item> vertcat </item>
+ <item> vr_val </item>
+ <item> waitpid </item>
+ <item> warning </item>
+ <item> warranty </item>
+ <item> which </item>
+ <item> who </item>
+ <item> whos </item>
+ <item> zeros </item>
+ <item> airy </item>
+ <item> balance </item>
+ <item> besselh </item>
+ <item> besseli </item>
+ <item> besselj </item>
+ <item> besselk </item>
+ <item> bessely </item>
+ <item> betainc </item>
+ <item> chol </item>
+ <item> colloc </item>
+ <item> daspk </item>
+ <item> daspk_options </item>
+ <item> dasrt </item>
+ <item> dasrt_options </item>
+ <item> dassl </item>
+ <item> dassl_options </item>
+ <item> det </item>
+ <item> eig </item>
+ <item> endgrent </item>
+ <item> endpwent </item>
+ <item> expm </item>
+ <item> fft </item>
+ <item> fft2 </item>
+ <item> fftn </item>
+ <item> fftw_wisdom </item>
+ <item> filter </item>
+ <item> find </item>
+ <item> fsolve </item>
+ <item> fsolve_options </item>
+ <item> gammainc </item>
+ <item> gcd </item>
+ <item> getgrent </item>
+ <item> getgrgid </item>
+ <item> getgrnam </item>
+ <item> getpwent </item>
+ <item> getpwnam </item>
+ <item> getpwuid </item>
+ <item> getrusage </item>
+ <item> givens </item>
+ <item> gmtime </item>
+ <item> hess </item>
+ <item> ifft </item>
+ <item> ifft2 </item>
+ <item> ifftn </item>
+ <item> inv </item>
+ <item> inverse </item>
+ <item> kron </item>
+ <item> localtime </item>
+ <item> lpsolve </item>
+ <item> lpsolve_options </item>
+ <item> lsode </item>
+ <item> lsode_options </item>
+ <item> lu </item>
+ <item> max </item>
+ <item> min </item>
+ <item> minmax </item>
+ <item> mktime </item>
+ <item> odessa </item>
+ <item> odessa_options </item>
+ <item> pinv </item>
+ <item> qr </item>
+ <item> quad </item>
+ <item> quad_options </item>
+ <item> qz </item>
+ <item> rand </item>
+ <item> randn </item>
+ <item> schur </item>
+ <item> setgrent </item>
+ <item> setpwent </item>
+ <item> sort </item>
+ <item> sqrtm </item>
+ <item> strftime </item>
+ <item> strptime </item>
+ <item> svd </item>
+ <item> syl </item>
+ <item> time </item>
+ <item> abcddim </item>
+ <item> __abcddims__ </item>
+ <item> acot </item>
+ <item> acoth </item>
+ <item> acsc </item>
+ <item> acsch </item>
+ <item> analdemo </item>
+ <item> anova </item>
+ <item> arch_fit </item>
+ <item> arch_rnd </item>
+ <item> arch_test </item>
+ <item> are </item>
+ <item> arma_rnd </item>
+ <item> asctime </item>
+ <item> asec </item>
+ <item> asech </item>
+ <item> autocor </item>
+ <item> autocov </item>
+ <item> autoreg_matrix </item>
+ <item> axis </item>
+ <item> axis2dlim </item>
+ <item> __axis_label__ </item>
+ <item> bar </item>
+ <item> bartlett </item>
+ <item> bartlett_test </item>
+ <item> base2dec </item>
+ <item> bddemo </item>
+ <item> beep </item>
+ <item> bessel </item>
+ <item> beta </item>
+ <item> beta_cdf </item>
+ <item> betai </item>
+ <item> beta_inv </item>
+ <item> beta_pdf </item>
+ <item> beta_rnd </item>
+ <item> bin2dec </item>
+ <item> bincoeff </item>
+ <item> binomial_cdf </item>
+ <item> binomial_inv </item>
+ <item> binomial_pdf </item>
+ <item> binomial_rnd </item>
+ <item> bitcmp </item>
+ <item> bitget </item>
+ <item> bitset </item>
+ <item> blackman </item>
+ <item> blanks </item>
+ <item> bode </item>
+ <item> bode_bounds </item>
+ <item> __bodquist__ </item>
+ <item> bottom_title </item>
+ <item> bug_report </item>
+ <item> buildssic </item>
+ <item> c2d </item>
+ <item> cart2pol </item>
+ <item> cart2sph </item>
+ <item> cauchy_cdf </item>
+ <item> cauchy_inv </item>
+ <item> cauchy_pdf </item>
+ <item> cauchy_rnd </item>
+ <item> cellidx </item>
+ <item> center </item>
+ <item> chisquare_cdf </item>
+ <item> chisquare_inv </item>
+ <item> chisquare_pdf </item>
+ <item> chisquare_rnd </item>
+ <item> chisquare_test_homogeneity </item>
+ <item> chisquare_test_independence </item>
+ <item> circshift </item>
+ <item> clock </item>
+ <item> cloglog </item>
+ <item> close </item>
+ <item> colormap </item>
+ <item> columns </item>
+ <item> com2str </item>
+ <item> comma </item>
+ <item> common_size </item>
+ <item> commutation_matrix </item>
+ <item> compan </item>
+ <item> complement </item>
+ <item> computer </item>
+ <item> cond </item>
+ <item> contour </item>
+ <item> controldemo </item>
+ <item> conv </item>
+ <item> cor </item>
+ <item> corrcoef </item>
+ <item> cor_test </item>
+ <item> cot </item>
+ <item> coth </item>
+ <item> cov </item>
+ <item> cputime </item>
+ <item> create_set </item>
+ <item> cross </item>
+ <item> csc </item>
+ <item> csch </item>
+ <item> ctime </item>
+ <item> ctrb </item>
+ <item> cut </item>
+ <item> d2c </item>
+ <item> damp </item>
+ <item> dare </item>
+ <item> date </item>
+ <item> dcgain </item>
+ <item> deal </item>
+ <item> deblank </item>
+ <item> dec2base </item>
+ <item> dec2bin </item>
+ <item> dec2hex </item>
+ <item> deconv </item>
+ <item> delete </item>
+ <item> DEMOcontrol </item>
+ <item> demoquat </item>
+ <item> detrend </item>
+ <item> dezero </item>
+ <item> dgkfdemo </item>
+ <item> dgram </item>
+ <item> dhinfdemo </item>
+ <item> diff </item>
+ <item> diffpara </item>
+ <item> dir </item>
+ <item> discrete_cdf </item>
+ <item> discrete_inv </item>
+ <item> discrete_pdf </item>
+ <item> discrete_rnd </item>
+ <item> dkalman </item>
+ <item> dlqe </item>
+ <item> dlqg </item>
+ <item> dlqr </item>
+ <item> dlyap </item>
+ <item> dmr2d </item>
+ <item> dmult </item>
+ <item> dot </item>
+ <item> dre </item>
+ <item> dump_prefs </item>
+ <item> duplication_matrix </item>
+ <item> durbinlevinson </item>
+ <item> empirical_cdf </item>
+ <item> empirical_inv </item>
+ <item> empirical_pdf </item>
+ <item> empirical_rnd </item>
+ <item> erfinv </item>
+ <item> __errcomm__ </item>
+ <item> errorbar </item>
+ <item> __errplot__ </item>
+ <item> etime </item>
+ <item> exponential_cdf </item>
+ <item> exponential_inv </item>
+ <item> exponential_pdf </item>
+ <item> exponential_rnd </item>
+ <item> f_cdf </item>
+ <item> fftconv </item>
+ <item> fftfilt </item>
+ <item> fftshift </item>
+ <item> figure </item>
+ <item> fileparts </item>
+ <item> findstr </item>
+ <item> f_inv </item>
+ <item> fir2sys </item>
+ <item> flipdim </item>
+ <item> fliplr </item>
+ <item> flipud </item>
+ <item> flops </item>
+ <item> f_pdf </item>
+ <item> fractdiff </item>
+ <item> frdemo </item>
+ <item> freqchkw </item>
+ <item> __freqresp__ </item>
+ <item> freqz </item>
+ <item> freqz_plot </item>
+ <item> f_rnd </item>
+ <item> f_test_regression </item>
+ <item> fullfile </item>
+ <item> fv </item>
+ <item> fvl </item>
+ <item> gamma_cdf </item>
+ <item> gammai </item>
+ <item> gamma_inv </item>
+ <item> gamma_pdf </item>
+ <item> gamma_rnd </item>
+ <item> geometric_cdf </item>
+ <item> geometric_inv </item>
+ <item> geometric_pdf </item>
+ <item> geometric_rnd </item>
+ <item> gls </item>
+ <item> gram </item>
+ <item> gray </item>
+ <item> gray2ind </item>
+ <item> grid </item>
+ <item> h2norm </item>
+ <item> h2syn </item>
+ <item> hamming </item>
+ <item> hankel </item>
+ <item> hanning </item>
+ <item> hex2dec </item>
+ <item> hilb </item>
+ <item> hinf_ctr </item>
+ <item> hinfdemo </item>
+ <item> hinfnorm </item>
+ <item> hinfsyn </item>
+ <item> hinfsyn_chk </item>
+ <item> hinfsyn_ric </item>
+ <item> hist </item>
+ <item> hotelling_test </item>
+ <item> hotelling_test_2 </item>
+ <item> housh </item>
+ <item> hsv2rgb </item>
+ <item> hurst </item>
+ <item> hypergeometric_cdf </item>
+ <item> hypergeometric_inv </item>
+ <item> hypergeometric_pdf </item>
+ <item> hypergeometric_rnd </item>
+ <item> image </item>
+ <item> imagesc </item>
+ <item> impulse </item>
+ <item> imshow </item>
+ <item> ind2gray </item>
+ <item> ind2rgb </item>
+ <item> ind2sub </item>
+ <item> index </item>
+ <item> int2str </item>
+ <item> intersection </item>
+ <item> invhilb </item>
+ <item> iqr </item>
+ <item> irr </item>
+ <item> isa </item>
+ <item> is_abcd </item>
+ <item> is_bool </item>
+ <item> is_complex </item>
+ <item> is_controllable </item>
+ <item> isdefinite </item>
+ <item> is_detectable </item>
+ <item> is_dgkf </item>
+ <item> is_digital </item>
+ <item> is_duplicate_entry </item>
+ <item> is_global </item>
+ <item> is_leap_year </item>
+ <item> isletter </item>
+ <item> is_list </item>
+ <item> is_matrix </item>
+ <item> is_observable </item>
+ <item> ispc </item>
+ <item> is_sample </item>
+ <item> is_scalar </item>
+ <item> isscalar </item>
+ <item> is_signal_list </item>
+ <item> is_siso </item>
+ <item> is_square </item>
+ <item> issquare </item>
+ <item> is_stabilizable </item>
+ <item> is_stable </item>
+ <item> isstr </item>
+ <item> is_stream </item>
+ <item> is_struct </item>
+ <item> is_symmetric </item>
+ <item> issymmetric </item>
+ <item> isunix </item>
+ <item> is_vector </item>
+ <item> isvector </item>
+ <item> jet707 </item>
+ <item> kendall </item>
+ <item> kolmogorov_smirnov_cdf </item>
+ <item> kolmogorov_smirnov_test </item>
+ <item> kolmogorov_smirnov_test_2 </item>
+ <item> kruskal_wallis_test </item>
+ <item> krylov </item>
+ <item> krylovb </item>
+ <item> kurtosis </item>
+ <item> laplace_cdf </item>
+ <item> laplace_inv </item>
+ <item> laplace_pdf </item>
+ <item> laplace_rnd </item>
+ <item> lcm </item>
+ <item> lin2mu </item>
+ <item> listidx </item>
+ <item> list_primes </item>
+ <item> loadaudio </item>
+ <item> loadimage </item>
+ <item> log2 </item>
+ <item> logical </item>
+ <item> logistic_cdf </item>
+ <item> logistic_inv </item>
+ <item> logistic_pdf </item>
+ <item> logistic_regression </item>
+ <item> logistic_regression_derivatives </item>
+ <item> logistic_regression_likelihood </item>
+ <item> logistic_rnd </item>
+ <item> logit </item>
+ <item> loglog </item>
+ <item> loglogerr </item>
+ <item> logm </item>
+ <item> lognormal_cdf </item>
+ <item> lognormal_inv </item>
+ <item> lognormal_pdf </item>
+ <item> lognormal_rnd </item>
+ <item> logspace </item>
+ <item> lower </item>
+ <item> lqe </item>
+ <item> lqg </item>
+ <item> lqr </item>
+ <item> lsim </item>
+ <item> ltifr </item>
+ <item> lyap </item>
+ <item> mahalanobis </item>
+ <item> manova </item>
+ <item> mcnemar_test </item>
+ <item> mean </item>
+ <item> meansq </item>
+ <item> median </item>
+ <item> menu </item>
+ <item> mesh </item>
+ <item> meshdom </item>
+ <item> meshgrid </item>
+ <item> minfo </item>
+ <item> mod </item>
+ <item> moddemo </item>
+ <item> moment </item>
+ <item> mplot </item>
+ <item> mu2lin </item>
+ <item> multiplot </item>
+ <item> nargchk </item>
+ <item> nextpow2 </item>
+ <item> nichols </item>
+ <item> norm </item>
+ <item> normal_cdf </item>
+ <item> normal_inv </item>
+ <item> normal_pdf </item>
+ <item> normal_rnd </item>
+ <item> not </item>
+ <item> nper </item>
+ <item> npv </item>
+ <item> ntsc2rgb </item>
+ <item> null </item>
+ <item> num2str </item>
+ <item> nyquist </item>
+ <item> obsv </item>
+ <item> ocean </item>
+ <item> ols </item>
+ <item> oneplot </item>
+ <item> ord2 </item>
+ <item> orth </item>
+ <item> __outlist__ </item>
+ <item> pack </item>
+ <item> packedform </item>
+ <item> packsys </item>
+ <item> parallel </item>
+ <item> paren </item>
+ <item> pascal_cdf </item>
+ <item> pascal_inv </item>
+ <item> pascal_pdf </item>
+ <item> pascal_rnd </item>
+ <item> path </item>
+ <item> periodogram </item>
+ <item> perror </item>
+ <item> place </item>
+ <item> playaudio </item>
+ <item> plot </item>
+ <item> plot_border </item>
+ <item> __plr__ </item>
+ <item> __plr1__ </item>
+ <item> __plr2__ </item>
+ <item> __plt__ </item>
+ <item> __plt1__ </item>
+ <item> __plt2__ </item>
+ <item> __plt2mm__ </item>
+ <item> __plt2mv__ </item>
+ <item> __plt2ss__ </item>
+ <item> __plt2vm__ </item>
+ <item> __plt2vv__ </item>
+ <item> __pltopt__ </item>
+ <item> __pltopt1__ </item>
+ <item> pmt </item>
+ <item> poisson_cdf </item>
+ <item> poisson_inv </item>
+ <item> poisson_pdf </item>
+ <item> poisson_rnd </item>
+ <item> pol2cart </item>
+ <item> polar </item>
+ <item> poly </item>
+ <item> polyder </item>
+ <item> polyderiv </item>
+ <item> polyfit </item>
+ <item> polyinteg </item>
+ <item> polyout </item>
+ <item> polyreduce </item>
+ <item> polyval </item>
+ <item> polyvalm </item>
+ <item> popen2 </item>
+ <item> postpad </item>
+ <item> pow2 </item>
+ <item> ppplot </item>
+ <item> prepad </item>
+ <item> probit </item>
+ <item> prompt </item>
+ <item> prop_test_2 </item>
+ <item> pv </item>
+ <item> pvl </item>
+ <item> pzmap </item>
+ <item> qconj </item>
+ <item> qcoordinate_plot </item>
+ <item> qderiv </item>
+ <item> qderivmat </item>
+ <item> qinv </item>
+ <item> qmult </item>
+ <item> qqplot </item>
+ <item> qtrans </item>
+ <item> qtransv </item>
+ <item> qtransvmat </item>
+ <item> quaternion </item>
+ <item> qzhess </item>
+ <item> qzval </item>
+ <item> randperm </item>
+ <item> range </item>
+ <item> rank </item>
+ <item> ranks </item>
+ <item> rate </item>
+ <item> record </item>
+ <item> rectangle_lw </item>
+ <item> rectangle_sw </item>
+ <item> rem </item>
+ <item> repmat </item>
+ <item> residue </item>
+ <item> rgb2hsv </item>
+ <item> rgb2ind </item>
+ <item> rgb2ntsc </item>
+ <item> rindex </item>
+ <item> rldemo </item>
+ <item> rlocus </item>
+ <item> roots </item>
+ <item> rot90 </item>
+ <item> rotdim </item>
+ <item> rotg </item>
+ <item> rows </item>
+ <item> run_cmd </item>
+ <item> run_count </item>
+ <item> run_test </item>
+ <item> saveaudio </item>
+ <item> saveimage </item>
+ <item> sec </item>
+ <item> sech </item>
+ <item> semicolon </item>
+ <item> semilogx </item>
+ <item> semilogxerr </item>
+ <item> semilogy </item>
+ <item> semilogyerr </item>
+ <item> series </item>
+ <item> setaudio </item>
+ <item> setstr </item>
+ <item> shg </item>
+ <item> shift </item>
+ <item> shiftdim </item>
+ <item> sign_test </item>
+ <item> sinc </item>
+ <item> sinetone </item>
+ <item> sinewave </item>
+ <item> skewness </item>
+ <item> sombrero </item>
+ <item> sortcom </item>
+ <item> spearman </item>
+ <item> spectral_adf </item>
+ <item> spectral_xdf </item>
+ <item> spencer </item>
+ <item> sph2cart </item>
+ <item> split </item>
+ <item> ss </item>
+ <item> ss2sys </item>
+ <item> ss2tf </item>
+ <item> ss2zp </item>
+ <item> stairs </item>
+ <item> starp </item>
+ <item> statistics </item>
+ <item> std </item>
+ <item> stdnormal_cdf </item>
+ <item> stdnormal_inv </item>
+ <item> stdnormal_pdf </item>
+ <item> stdnormal_rnd </item>
+ <item> step </item>
+ <item> __stepimp__ </item>
+ <item> stft </item>
+ <item> str2mat </item>
+ <item> str2num </item>
+ <item> strappend </item>
+ <item> strcat </item>
+ <item> strcmp </item>
+ <item> strerror </item>
+ <item> strjust </item>
+ <item> strrep </item>
+ <item> struct_contains </item>
+ <item> struct_elements </item>
+ <item> studentize </item>
+ <item> sub2ind </item>
+ <item> subplot </item>
+ <item> substr </item>
+ <item> subwindow </item>
+ <item> swap </item>
+ <item> swapcols </item>
+ <item> swaprows </item>
+ <item> sylvester_matrix </item>
+ <item> synthesis </item>
+ <item> sys2fir </item>
+ <item> sys2ss </item>
+ <item> sys2tf </item>
+ <item> sys2zp </item>
+ <item> sysadd </item>
+ <item> sysappend </item>
+ <item> syschnames </item>
+ <item> __syschnamesl__ </item>
+ <item> syschtsam </item>
+ <item> __sysconcat__ </item>
+ <item> sysconnect </item>
+ <item> syscont </item>
+ <item> __syscont_disc__ </item>
+ <item> __sysdefioname__ </item>
+ <item> __sysdefstname__ </item>
+ <item> sysdimensions </item>
+ <item> sysdisc </item>
+ <item> sysdup </item>
+ <item> sysgetsignals </item>
+ <item> sysgettsam </item>
+ <item> sysgettype </item>
+ <item> sysgroup </item>
+ <item> __sysgroupn__ </item>
+ <item> sysidx </item>
+ <item> sysmin </item>
+ <item> sysmult </item>
+ <item> sysout </item>
+ <item> sysprune </item>
+ <item> sysreorder </item>
+ <item> sysrepdemo </item>
+ <item> sysscale </item>
+ <item> syssetsignals </item>
+ <item> syssub </item>
+ <item> sysupdate </item>
+ <item> table </item>
+ <item> t_cdf </item>
+ <item> tempdir </item>
+ <item> tempname </item>
+ <item> texas_lotto </item>
+ <item> tf </item>
+ <item> tf2ss </item>
+ <item> tf2sys </item>
+ <item> __tf2sysl__ </item>
+ <item> tf2zp </item>
+ <item> __tfl__ </item>
+ <item> tfout </item>
+ <item> tic </item>
+ <item> t_inv </item>
+ <item> title </item>
+ <item> toc </item>
+ <item> toeplitz </item>
+ <item> top_title </item>
+ <item> t_pdf </item>
+ <item> trace </item>
+ <item> triangle_lw </item>
+ <item> triangle_sw </item>
+ <item> tril </item>
+ <item> triu </item>
+ <item> t_rnd </item>
+ <item> t_test </item>
+ <item> t_test_2 </item>
+ <item> t_test_regression </item>
+ <item> tzero </item>
+ <item> tzero2 </item>
+ <item> ugain </item>
+ <item> uniform_cdf </item>
+ <item> uniform_inv </item>
+ <item> uniform_pdf </item>
+ <item> uniform_rnd </item>
+ <item> union </item>
+ <item> unix </item>
+ <item> unpacksys </item>
+ <item> unwrap </item>
+ <item> upper </item>
+ <item> u_test </item>
+ <item> values </item>
+ <item> vander </item>
+ <item> var </item>
+ <item> var_test </item>
+ <item> vec </item>
+ <item> vech </item>
+ <item> version </item>
+ <item> vol </item>
+ <item> weibull_cdf </item>
+ <item> weibull_inv </item>
+ <item> weibull_pdf </item>
+ <item> weibull_rnd </item>
+ <item> welch_test </item>
+ <item> wgt1o </item>
+ <item> wiener_rnd </item>
+ <item> wilcoxon_test </item>
+ <item> xlabel </item>
+ <item> xor </item>
+ <item> ylabel </item>
+ <item> yulewalker </item>
+ <item> zgfmul </item>
+ <item> zgfslv </item>
+ <item> zginit </item>
+ <item> __zgpbal__ </item>
+ <item> zgreduce </item>
+ <item> zgrownorm </item>
+ <item> zgscal </item>
+ <item> zgsgiv </item>
+ <item> zgshsr </item>
+ <item> zlabel </item>
+ <item> zp </item>
+ <item> zp2ss </item>
+ <item> __zp2ssg2__ </item>
+ <item> zp2sys </item>
+ <item> zp2tf </item>
+ <item> zpout </item>
+ <item> z_test </item>
+ <item> z_test_2 </item>
+ </list>
+
+ <list name="forge">
+ <item> airy_Ai </item>
+ <item> airy_Ai_deriv </item>
+ <item> airy_Ai_deriv_scaled </item>
+ <item> airy_Ai_scaled </item>
+ <item> airy_Bi </item>
+ <item> airy_Bi_deriv </item>
+ <item> airy_Bi_deriv_scaled </item>
+ <item> airy_Bi_scaled </item>
+ <item> airy_zero_Ai </item>
+ <item> airy_zero_Ai_deriv </item>
+ <item> airy_zero_Bi </item>
+ <item> airy_zero_Bi_deriv </item>
+ <item> atanint </item>
+ <item> bchdeco </item>
+ <item> bchenco </item>
+ <item> bessel_il_scaled </item>
+ <item> bessel_In </item>
+ <item> bessel_In_scaled </item>
+ <item> bessel_Inu </item>
+ <item> bessel_Inu_scaled </item>
+ <item> bessel_jl </item>
+ <item> bessel_Jn </item>
+ <item> bessel_Jnu </item>
+ <item> bessel_kl_scaled </item>
+ <item> bessel_Kn </item>
+ <item> bessel_Kn_scaled </item>
+ <item> bessel_Knu </item>
+ <item> bessel_Knu_scaled </item>
+ <item> bessel_lnKnu </item>
+ <item> bessel_yl </item>
+ <item> bessel_Yn </item>
+ <item> bessel_Ynu </item>
+ <item> bessel_zero_J0 </item>
+ <item> bessel_zero_J1 </item>
+ <item> beta_gsl </item>
+ <item> bfgsmin </item>
+ <item> bisectionstep </item>
+ <item> builtin </item>
+ <item> bwfill </item>
+ <item> bwlabel </item>
+ <item> cell2csv </item>
+ <item> celleval </item>
+ <item> Chi </item>
+ <item> chol </item>
+ <item> Ci </item>
+ <item> clausen </item>
+ <item> conicalP_0 </item>
+ <item> conicalP_1 </item>
+ <item> conicalP_half </item>
+ <item> conicalP_mhalf </item>
+ <item> conv2 </item>
+ <item> cordflt2 </item>
+ <item> coupling_3j </item>
+ <item> coupling_6j </item>
+ <item> coupling_9j </item>
+ <item> csv2cell </item>
+ <item> csvconcat </item>
+ <item> csvexplode </item>
+ <item> cyclgen </item>
+ <item> cyclpoly </item>
+ <item> dawson </item>
+ <item> debye_1 </item>
+ <item> debye_2 </item>
+ <item> debye_3 </item>
+ <item> debye_4 </item>
+ <item> deref </item>
+ <item> dispatch </item>
+ <item> dispatch_help </item>
+ <item> display_fixed_operations </item>
+ <item> dlmread </item>
+ <item> ellint_Ecomp </item>
+ <item> ellint_Kcomp </item>
+ <item> ellipj </item>
+ <item> erfc_gsl </item>
+ <item> erf_gsl </item>
+ <item> erf_Q </item>
+ <item> erf_Z </item>
+ <item> _errcore </item>
+ <item> eta </item>
+ <item> eta_int </item>
+ <item> expint_3 </item>
+ <item> expint_E1 </item>
+ <item> expint_E2 </item>
+ <item> expint_Ei </item>
+ <item> expm1 </item>
+ <item> exp_mult </item>
+ <item> exprel </item>
+ <item> exprel_2 </item>
+ <item> exprel_n </item>
+ <item> fabs </item>
+ <item> fangle </item>
+ <item> farg </item>
+ <item> fatan2 </item>
+ <item> fceil </item>
+ <item> fconj </item>
+ <item> fcos </item>
+ <item> fcosh </item>
+ <item> fcumprod </item>
+ <item> fcumsum </item>
+ <item> fdiag </item>
+ <item> fermi_dirac_3half </item>
+ <item> fermi_dirac_half </item>
+ <item> fermi_dirac_inc_0 </item>
+ <item> fermi_dirac_int </item>
+ <item> fermi_dirac_mhalf </item>
+ <item> fexp </item>
+ <item> ffloor </item>
+ <item> fimag </item>
+ <item> finitedifference </item>
+ <item> fixed </item>
+ <item> flog </item>
+ <item> flog10 </item>
+ <item> fprod </item>
+ <item> freal </item>
+ <item> freshape </item>
+ <item> fround </item>
+ <item> fsin </item>
+ <item> fsinh </item>
+ <item> fsqrt </item>
+ <item> fsum </item>
+ <item> fsumsq </item>
+ <item> ftan </item>
+ <item> ftanh </item>
+ <item> full </item>
+ <item> gamma_gsl </item>
+ <item> gamma_inc </item>
+ <item> gamma_inc_P </item>
+ <item> gamma_inc_Q </item>
+ <item> gammainv_gsl </item>
+ <item> gammastar </item>
+ <item> gdet </item>
+ <item> gdiag </item>
+ <item> gexp </item>
+ <item> gf </item>
+ <item> gfilter </item>
+ <item> _gfweight </item>
+ <item> ginv </item>
+ <item> ginverse </item>
+ <item> glog </item>
+ <item> glu </item>
+ <item> gpick </item>
+ <item> gprod </item>
+ <item> grab </item>
+ <item> grank </item>
+ <item> graycomatrix </item>
+ <item> __grcla__ </item>
+ <item> __grclf__ </item>
+ <item> __grcmd__ </item>
+ <item> greshape </item>
+ <item> __grexit__ </item>
+ <item> __grfigure__ </item>
+ <item> __grgetstat__ </item>
+ <item> __grhold__ </item>
+ <item> __grinit__ </item>
+ <item> __grishold__ </item>
+ <item> __grnewset__ </item>
+ <item> __grsetgraph__ </item>
+ <item> gsl_sf </item>
+ <item> gsqrt </item>
+ <item> gsum </item>
+ <item> gsumsq </item>
+ <item> gtext </item>
+ <item> gzoom </item>
+ <item> hazard </item>
+ <item> houghtf </item>
+ <item> hyperg_0F1 </item>
+ <item> hzeta </item>
+ <item> is_complex_sparse </item>
+ <item> isfixed </item>
+ <item> isgalois </item>
+ <item> isprimitive </item>
+ <item> is_real_sparse </item>
+ <item> is_sparse </item>
+ <item> jpgread </item>
+ <item> jpgwrite </item>
+ <item> lambert_W0 </item>
+ <item> lambert_Wm1 </item>
+ <item> legendre_Pl </item>
+ <item> legendre_Plm </item>
+ <item> legendre_Ql </item>
+ <item> legendre_sphPlm </item>
+ <item> legendre_sphPlm_array </item>
+ <item> leval </item>
+ <item> listen </item>
+ <item> lnbeta </item>
+ <item> lncosh </item>
+ <item> lngamma_gsl </item>
+ <item> lnpoch </item>
+ <item> lnsinh </item>
+ <item> log_1plusx </item>
+ <item> log_1plusx_mx </item>
+ <item> log_erfc </item>
+ <item> lp </item>
+ <item> make_sparse </item>
+ <item> mark_for_deletion </item>
+ <item> medfilt1 </item>
+ <item> newtonstep </item>
+ <item> nnz </item>
+ <item> numgradient </item>
+ <item> numhessian </item>
+ <item> pchip_deriv </item>
+ <item> pngread </item>
+ <item> pngwrite </item>
+ <item> poch </item>
+ <item> pochrel </item>
+ <item> pretty </item>
+ <item> primpoly </item>
+ <item> psi </item>
+ <item> psi_1_int </item>
+ <item> psi_1piy </item>
+ <item> psi_n </item>
+ <item> rand </item>
+ <item> rande </item>
+ <item> randn </item>
+ <item> randp </item>
+ <item> regexp </item>
+ <item> remez </item>
+ <item> reset_fixed_operations </item>
+ <item> rotate_scale </item>
+ <item> rsdec </item>
+ <item> rsenc </item>
+ <item> samin </item>
+ <item> SBBacksub </item>
+ <item> SBEig </item>
+ <item> SBFactor </item>
+ <item> SBProd </item>
+ <item> SBSolve </item>
+ <item> Shi </item>
+ <item> Si </item>
+ <item> sinc_gsl </item>
+ <item> spabs </item>
+ <item> sparse </item>
+ <item> spfind </item>
+ <item> spimag </item>
+ <item> spinv </item>
+ <item> splu </item>
+ <item> spreal </item>
+ <item> SymBand </item>
+ <item> synchrotron_1 </item>
+ <item> synchrotron_2 </item>
+ <item> syndtable </item>
+ <item> taylorcoeff </item>
+ <item> transport_2 </item>
+ <item> transport_3 </item>
+ <item> transport_4 </item>
+ <item> transport_5 </item>
+ <item> trisolve </item>
+ <item> waitbar </item>
+ <item> xmlread </item>
+ <item> zeta </item>
+ <item> zeta_int </item>
+ <item> aar </item>
+ <item> aarmam </item>
+ <item> ac2poly </item>
+ <item> ac2rc </item>
+ <item> acorf </item>
+ <item> acovf </item>
+ <item> addpath </item>
+ <item> ademodce </item>
+ <item> adim </item>
+ <item> adsmax </item>
+ <item> amodce </item>
+ <item> anderson_darling_cdf </item>
+ <item> anderson_darling_test </item>
+ <item> anovan </item>
+ <item> apkconst </item>
+ <item> append_save </item>
+ <item> applylut </item>
+ <item> ar2poly </item>
+ <item> ar2rc </item>
+ <item> arburg </item>
+ <item> arcext </item>
+ <item> arfit2 </item>
+ <item> ar_spa </item>
+ <item> aryule </item>
+ <item> assert </item>
+ <item> au </item>
+ <item> aucapture </item>
+ <item> auload </item>
+ <item> auplot </item>
+ <item> aurecord </item>
+ <item> ausave </item>
+ <item> autumn </item>
+ <item> average_moments </item>
+ <item> awgn </item>
+ <item> azimuth </item>
+ <item> BandToFull </item>
+ <item> BandToSparse </item>
+ <item> base64encode </item>
+ <item> battery </item>
+ <item> bchpoly </item>
+ <item> bestblk </item>
+ <item> best_dir </item>
+ <item> best_dir_cov </item>
+ <item> betaln </item>
+ <item> bfgs </item>
+ <item> bfgsmin_example </item>
+ <item> bi2de </item>
+ <item> biacovf </item>
+ <item> bilinear </item>
+ <item> bisdemo </item>
+ <item> bispec </item>
+ <item> biterr </item>
+ <item> blkdiag </item>
+ <item> blkproc </item>
+ <item> bmpwrite </item>
+ <item> bone </item>
+ <item> bound_convex </item>
+ <item> boxcar </item>
+ <item> boxplot </item>
+ <item> brighten </item>
+ <item> bs_gradient </item>
+ <item> butter </item>
+ <item> buttord </item>
+ <item> bwborder </item>
+ <item> bweuler </item>
+ <item> bwlabel </item>
+ <item> bwmorph </item>
+ <item> bwselect </item>
+ <item> calendar </item>
+ <item> cceps </item>
+ <item> cdiff </item>
+ <item> cellstr </item>
+ <item> char </item>
+ <item> cheb </item>
+ <item> cheb1ord </item>
+ <item> cheb2ord </item>
+ <item> chebwin </item>
+ <item> cheby1 </item>
+ <item> cheby2 </item>
+ <item> chirp </item>
+ <item> clf </item>
+ <item> clip </item>
+ <item> cmpermute </item>
+ <item> cmunique </item>
+ <item> cohere </item>
+ <item> col2im </item>
+ <item> colfilt </item>
+ <item> colorgradient </item>
+ <item> comms </item>
+ <item> compand </item>
+ <item> complex </item>
+ <item> concat </item>
+ <item> conndef </item>
+ <item> content </item>
+ <item> contents </item>
+ <item> Contents </item>
+ <item> contourf </item>
+ <item> convhull </item>
+ <item> convmtx </item>
+ <item> cool </item>
+ <item> copper </item>
+ <item> corr2 </item>
+ <item> cosets </item>
+ <item> count </item>
+ <item> covm </item>
+ <item> cplxpair </item>
+ <item> cquadnd </item>
+ <item> create_lookup_table </item>
+ <item> crule </item>
+ <item> crule2d </item>
+ <item> crule2dgen </item>
+ <item> csape </item>
+ <item> csapi </item>
+ <item> csd </item>
+ <item> csvread </item>
+ <item> csvwrite </item>
+ <item> ctranspose </item>
+ <item> cumtrapz </item>
+ <item> czt </item>
+ <item> d2_min </item>
+ <item> datenum </item>
+ <item> datestr </item>
+ <item> datevec </item>
+ <item> dct </item>
+ <item> dct2 </item>
+ <item> dctmtx </item>
+ <item> de2bi </item>
+ <item> deal </item>
+ <item> decimate </item>
+ <item> decode </item>
+ <item> deg2rad </item>
+ <item> del2 </item>
+ <item> delaunay </item>
+ <item> delaunay3 </item>
+ <item> delta_method </item>
+ <item> demo </item>
+ <item> demodmap </item>
+ <item> deriv </item>
+ <item> detrend </item>
+ <item> dfdp </item>
+ <item> dftmtx </item>
+ <item> dhbar </item>
+ <item> dilate </item>
+ <item> dispatch </item>
+ <item> distance </item>
+ <item> dlmread </item>
+ <item> dlmwrite </item>
+ <item> dos </item>
+ <item> double </item>
+ <item> drawnow </item>
+ <item> durlev </item>
+ <item> dxfwrite </item>
+ <item> edge </item>
+ <item> edit </item>
+ <item> ellip </item>
+ <item> ellipdemo </item>
+ <item> ellipj </item>
+ <item> ellipke </item>
+ <item> ellipord </item>
+ <item> __ellip_ws </item>
+ <item> __ellip_ws_min </item>
+ <item> encode </item>
+ <item> eomday </item>
+ <item> erode </item>
+ <item> example </item>
+ <item> ExampleEigenValues </item>
+ <item> ExampleGenEigenValues </item>
+ <item> expdemo </item>
+ <item> expfit </item>
+ <item> eyediagram </item>
+ <item> factor </item>
+ <item> factorial </item>
+ <item> fail </item>
+ <item> fcnchk </item>
+ <item> feedback </item>
+ <item> fem_test </item>
+ <item> ff2n </item>
+ <item> fftconv2 </item>
+ <item> fieldnames </item>
+ <item> fill </item>
+ <item> fill3 </item>
+ <item> filter2 </item>
+ <item> filtfilt </item>
+ <item> filtic </item>
+ <item> findsym </item>
+ <item> fir1 </item>
+ <item> fir2 </item>
+ <item> fixedpoint </item>
+ <item> flag </item>
+ <item> flag_implicit_samplerate </item>
+ <item> flattopwin </item>
+ <item> flix </item>
+ <item> float </item>
+ <item> fmin </item>
+ <item> fminbnd </item>
+ <item> fmins </item>
+ <item> fminunc </item>
+ <item> fnder </item>
+ <item> fnplt </item>
+ <item> fnval </item>
+ <item> fplot </item>
+ <item> freqs </item>
+ <item> freqs_plot </item>
+ <item> fsort </item>
+ <item> fullfact </item>
+ <item> FullToBand </item>
+ <item> funm </item>
+ <item> fzero </item>
+ <item> gammaln </item>
+ <item> gapTest </item>
+ <item> gaussian </item>
+ <item> gausswin </item>
+ <item> gconv </item>
+ <item> gconvmtx </item>
+ <item> gdeconv </item>
+ <item> gdftmtx </item>
+ <item> gen2par </item>
+ <item> geomean </item>
+ <item> getfield </item>
+ <item> getfields </item>
+ <item> gfft </item>
+ <item> gftable </item>
+ <item> gfweight </item>
+ <item> gget </item>
+ <item> gifft </item>
+ <item> ginput </item>
+ <item> gmm_estimate </item>
+ <item> gmm_example </item>
+ <item> gmm_obj </item>
+ <item> gmm_results </item>
+ <item> gmm_variance </item>
+ <item> gmm_variance_inefficient </item>
+ <item> gquad </item>
+ <item> gquad2d </item>
+ <item> gquad2d6 </item>
+ <item> gquad2dgen </item>
+ <item> gquad6 </item>
+ <item> gquadnd </item>
+ <item> grace_octave_path </item>
+ <item> gradient </item>
+ <item> grayslice </item>
+ <item> grep </item>
+ <item> grid </item>
+ <item> griddata </item>
+ <item> groots </item>
+ <item> grpdelay </item>
+ <item> grule </item>
+ <item> grule2d </item>
+ <item> grule2dgen </item>
+ <item> hadamard </item>
+ <item> hammgen </item>
+ <item> hankel </item>
+ <item> hann </item>
+ <item> harmmean </item>
+ <item> hilbert </item>
+ <item> histeq </item>
+ <item> histfit </item>
+ <item> histo </item>
+ <item> histo2 </item>
+ <item> histo3 </item>
+ <item> histo4 </item>
+ <item> hot </item>
+ <item> hsv </item>
+ <item> hup </item>
+ <item> idct </item>
+ <item> idct2 </item>
+ <item> idplot </item>
+ <item> idsim </item>
+ <item> ifftshift </item>
+ <item> im2bw </item>
+ <item> im2col </item>
+ <item> imadjust </item>
+ <item> imginfo </item>
+ <item> imhist </item>
+ <item> imnoise </item>
+ <item> impad </item>
+ <item> impz </item>
+ <item> imread </item>
+ <item> imrotate </item>
+ <item> imshear </item>
+ <item> imtranslate </item>
+ <item> imwrite </item>
+ <item> innerfun </item>
+ <item> inputname </item>
+ <item> interp </item>
+ <item> interp1 </item>
+ <item> interp2 </item>
+ <item> interpft </item>
+ <item> intersect </item>
+ <item> invest0 </item>
+ <item> invest1 </item>
+ <item> invfdemo </item>
+ <item> invfreq </item>
+ <item> invfreqs </item>
+ <item> invfreqz </item>
+ <item> inz </item>
+ <item> irsa_act </item>
+ <item> irsa_actcore </item>
+ <item> irsa_check </item>
+ <item> irsa_dft </item>
+ <item> irsa_dftfp </item>
+ <item> irsa_genreal </item>
+ <item> irsa_idft </item>
+ <item> irsa_isregular </item>
+ <item> irsa_jitsp </item>
+ <item> irsa_mdsp </item>
+ <item> irsa_normalize </item>
+ <item> irsa_plotdft </item>
+ <item> irsa_resample </item>
+ <item> irsa_rgenreal </item>
+ <item> isa </item>
+ <item> isbw </item>
+ <item> isdir </item>
+ <item> isequal </item>
+ <item> isfield </item>
+ <item> isgray </item>
+ <item> isind </item>
+ <item> ismember </item>
+ <item> isprime </item>
+ <item> isrgb </item>
+ <item> issparse </item>
+ <item> isunix </item>
+ <item> jet </item>
+ <item> kaiser </item>
+ <item> kaiserord </item>
+ <item> lambertw </item>
+ <item> lattice </item>
+ <item> lauchli </item>
+ <item> leasqr </item>
+ <item> leasqrdemo </item>
+ <item> legend </item>
+ <item> legendre </item>
+ <item> levinson </item>
+ <item> lin2mu </item>
+ <item> line_min </item>
+ <item> lloyds </item>
+ <item> lookup </item>
+ <item> lookup_table </item>
+ <item> lpc </item>
+ <item> lp_test </item>
+ <item> mad </item>
+ <item> magic </item>
+ <item> makelut </item>
+ <item> MakeShears </item>
+ <item> map </item>
+ <item> mat2gray </item>
+ <item> mat2str </item>
+ <item> mdsmax </item>
+ <item> mean2 </item>
+ <item> medfilt2 </item>
+ <item> meshc </item>
+ <item> minimize </item>
+ <item> minpol </item>
+ <item> mkpp </item>
+ <item> mktheta </item>
+ <item> mle_estimate </item>
+ <item> mle_example </item>
+ <item> mle_obj </item>
+ <item> mle_results </item>
+ <item> mle_variance </item>
+ <item> modmap </item>
+ <item> mu2lin </item>
+ <item> mvaar </item>
+ <item> mvar </item>
+ <item> mvfilter </item>
+ <item> mvfreqz </item>
+ <item> myfeval </item>
+ <item> nanmax </item>
+ <item> nanmean </item>
+ <item> nanmedian </item>
+ <item> nanmin </item>
+ <item> nanstd </item>
+ <item> nansum </item>
+ <item> ncauer </item>
+ <item> nchoosek </item>
+ <item> ncrule </item>
+ <item> ndims </item>
+ <item> nelder_mead_min </item>
+ <item> newmark </item>
+ <item> nlfilter </item>
+ <item> nlnewmark </item>
+ <item> __nlnewmark_fcn__ </item>
+ <item> nmsmax </item>
+ <item> nonzeros </item>
+ <item> normplot </item>
+ <item> now </item>
+ <item> nrm </item>
+ <item> nthroot </item>
+ <item> nze </item>
+ <item> OCTAVE_FORGE_VERSION </item>
+ <item> ode23 </item>
+ <item> ode45 </item>
+ <item> ode78 </item>
+ <item> optimset </item>
+ <item> ordfilt2 </item>
+ <item> orient </item>
+ <item> pacf </item>
+ <item> padarray </item>
+ <item> parameterize </item>
+ <item> parcor </item>
+ <item> pareto </item>
+ <item> pascal </item>
+ <item> patch </item>
+ <item> pburg </item>
+ <item> pcg </item>
+ <item> pchip </item>
+ <item> pcolor </item>
+ <item> pcr </item>
+ <item> peaks </item>
+ <item> penddot </item>
+ <item> pendulum </item>
+ <item> perms </item>
+ <item> pie </item>
+ <item> pink </item>
+ <item> plot3 </item>
+ <item> __plt3__ </item>
+ <item> poly2ac </item>
+ <item> poly2ar </item>
+ <item> poly_2_ex </item>
+ <item> poly2mask </item>
+ <item> poly2rc </item>
+ <item> poly2sym </item>
+ <item> poly2th </item>
+ <item> polyarea </item>
+ <item> polyconf </item>
+ <item> polyder </item>
+ <item> polyderiv </item>
+ <item> polygcd </item>
+ <item> polystab </item>
+ <item> __power </item>
+ <item> ppval </item>
+ <item> prctile </item>
+ <item> prettyprint </item>
+ <item> prettyprint_c </item>
+ <item> primes </item>
+ <item> princomp </item>
+ <item> print </item>
+ <item> prism </item>
+ <item> proplan </item>
+ <item> pulstran </item>
+ <item> pwelch </item>
+ <item> pyulear </item>
+ <item> qaskdeco </item>
+ <item> qaskenco </item>
+ <item> qtdecomp </item>
+ <item> qtgetblk </item>
+ <item> qtsetblk </item>
+ <item> quad2dc </item>
+ <item> quad2dcgen </item>
+ <item> quad2dg </item>
+ <item> quad2dggen </item>
+ <item> quadc </item>
+ <item> quadg </item>
+ <item> quadl </item>
+ <item> quadndg </item>
+ <item> quantiz </item>
+ <item> quiver </item>
+ <item> rad2deg </item>
+ <item> rainbow </item>
+ <item> randerr </item>
+ <item> randint </item>
+ <item> randsrc </item>
+ <item> rat </item>
+ <item> rats </item>
+ <item> rc2ac </item>
+ <item> rc2ar </item>
+ <item> rc2poly </item>
+ <item> rceps </item>
+ <item> read_options </item>
+ <item> read_pdb </item>
+ <item> rectpuls </item>
+ <item> resample </item>
+ <item> rgb2gray </item>
+ <item> rk2fixed </item>
+ <item> rk4fixed </item>
+ <item> rk8fixed </item>
+ <item> rmfield </item>
+ <item> rmle </item>
+ <item> rmpath </item>
+ <item> roicolor </item>
+ <item> rosser </item>
+ <item> rotparams </item>
+ <item> rotv </item>
+ <item> rref </item>
+ <item> rsdecof </item>
+ <item> rsencof </item>
+ <item> rsgenpoly </item>
+ <item> samin_example </item>
+ <item> save_vrml </item>
+ <item> sbispec </item>
+ <item> scale_data </item>
+ <item> scatter </item>
+ <item> scatterplot </item>
+ <item> select_3D_points </item>
+ <item> selmo </item>
+ <item> setdiff </item>
+ <item> setfield </item>
+ <item> setfields </item>
+ <item> setxor </item>
+ <item> sftrans </item>
+ <item> sgolay </item>
+ <item> sgolayfilt </item>
+ <item> sinvest1 </item>
+ <item> slurp_file </item>
+ <item> sortrows </item>
+ <item> sound </item>
+ <item> soundsc </item>
+ <item> spdiags </item>
+ <item> specgram </item>
+ <item> speed </item>
+ <item> speye </item>
+ <item> spfun </item>
+ <item> sphcat </item>
+ <item> spline </item>
+ <item> splot </item>
+ <item> spones </item>
+ <item> sprand </item>
+ <item> sprandn </item>
+ <item> spring </item>
+ <item> spstats </item>
+ <item> spsum </item>
+ <item> sp_test </item>
+ <item> sptest </item>
+ <item> spvcat </item>
+ <item> spy </item>
+ <item> std2 </item>
+ <item> stem </item>
+ <item> str2double </item>
+ <item> strcmpi </item>
+ <item> stretchlim </item>
+ <item> strfind </item>
+ <item> strmatch </item>
+ <item> strncmp </item>
+ <item> strncmpi </item>
+ <item> strsort </item>
+ <item> strtok </item>
+ <item> strtoz </item>
+ <item> struct </item>
+ <item> strvcat </item>
+ <item> summer </item>
+ <item> sumskipnan </item>
+ <item> surf </item>
+ <item> surfc </item>
+ <item> sym2poly </item>
+ <item> symerr </item>
+ <item> symfsolve </item>
+ <item> tabulate </item>
+ <item> tar </item>
+ <item> temp_name </item>
+ <item> test </item>
+ <item> test_d2_min_1 </item>
+ <item> test_d2_min_2 </item>
+ <item> test_d2_min_3 </item>
+ <item> test_ellipj </item>
+ <item> test_fminunc_1 </item>
+ <item> testimio </item>
+ <item> test_inline_1 </item>
+ <item> test_min_1 </item>
+ <item> test_min_2 </item>
+ <item> test_min_3 </item>
+ <item> test_min_4 </item>
+ <item> test_minimize_1 </item>
+ <item> test_nelder_mead_min_1 </item>
+ <item> test_nelder_mead_min_2 </item>
+ <item> test_sncndn </item>
+ <item> test_struct </item>
+ <item> test_vmesh </item>
+ <item> test_vrml_faces </item>
+ <item> test_wpolyfit </item>
+ <item> text </item>
+ <item> textread </item>
+ <item> tf2zp </item>
+ <item> tfe </item>
+ <item> thfm </item>
+ <item> tics </item>
+ <item> toeplitz </item>
+ <item> toggle_grace_use </item>
+ <item> transpose </item>
+ <item> trapz </item>
+ <item> triang </item>
+ <item> tril </item>
+ <item> trimmean </item>
+ <item> tripuls </item>
+ <item> trisolve </item>
+ <item> triu </item>
+ <item> tsademo </item>
+ <item> tsearchdemo </item>
+ <item> ucp </item>
+ <item> uintlut </item>
+ <item> unique </item>
+ <item> unix </item>
+ <item> unmkpp </item>
+ <item> unscale_parameters </item>
+ <item> vec2mat </item>
+ <item> view </item>
+ <item> vmesh </item>
+ <item> voronoi </item>
+ <item> voronoin </item>
+ <item> vrml_arrow </item>
+ <item> vrml_Background </item>
+ <item> vrml_browse </item>
+ <item> vrml_cyl </item>
+ <item> vrml_demo_tutorial_1 </item>
+ <item> vrml_demo_tutorial_2 </item>
+ <item> vrml_demo_tutorial_3 </item>
+ <item> vrml_demo_tutorial_4 </item>
+ <item> vrml_ellipsoid </item>
+ <item> vrml_faces </item>
+ <item> vrml_flatten </item>
+ <item> vrml_frame </item>
+ <item> vrml_group </item>
+ <item> vrml_kill </item>
+ <item> vrml_lines </item>
+ <item> vrml_material </item>
+ <item> vrml_parallelogram </item>
+ <item> vrml_PointLight </item>
+ <item> vrml_points </item>
+ <item> vrml_select_points </item>
+ <item> vrml_surf </item>
+ <item> vrml_text </item>
+ <item> vrml_thick_surf </item>
+ <item> vrml_transfo </item>
+ <item> wavread </item>
+ <item> wavwrite </item>
+ <item> weekday </item>
+ <item> wgn </item>
+ <item> white </item>
+ <item> wilkinson </item>
+ <item> winter </item>
+ <item> wpolyfit </item>
+ <item> wpolyfitdemo </item>
+ <item> write_pdb </item>
+ <item> wsolve </item>
+ <item> xcorr </item>
+ <item> xcorr2 </item>
+ <item> xcov </item>
+ <item> xlsread </item>
+ <item> xmlwrite </item>
+ <item> y2res </item>
+ <item> zero_count </item>
+ <item> zoom </item>
+ <item> zp2tf </item>
+ <item> zplane </item>
+ <item> zscore </item>
+ </list>
+
+ <contexts>
+
+ <context name="_normal" attribute="Normal Text" lineEndContext="#stay">
+
+ <!-- Code folding -->
+ <!--TODO: with this implementation, code folding will close a block also with a wrong
+ end*: for istance, for can be closed by endif. This is done because the catchall end
+ keyword is widely used to close a number of blocks (including if and for).
+ If you have an improvement, please contribute it!-->
+ <RegExpr context="#stay" attribute="Keyword" String="\b(for)\b" beginRegion="block" />
+ <RegExpr context="#stay" attribute="Keyword" String="\b(endfor)\b" endRegion="block" />
+ <RegExpr context="#stay" attribute="Keyword" String="\b(if)\b" beginRegion="block" />
+ <RegExpr context="#stay" attribute="Keyword" String="\b(endif)\b" endRegion="block" />
+ <RegExpr context="#stay" attribute="Keyword" String="\b(do)\b" beginRegion="block" />
+ <RegExpr context="#stay" attribute="Keyword" String="\b(until)\b" endRegion="block" />
+ <RegExpr context="#stay" attribute="Keyword" String="\b(while)\b" beginRegion="block" />
+ <RegExpr context="#stay" attribute="Keyword" String="\b(endwhile)\b" endRegion="block" />
+ <RegExpr context="#stay" attribute="Keyword" String="\b(function)\b" beginRegion="block" />
+ <RegExpr context="#stay" attribute="Keyword" String="\b(endfunction)\b" endRegion="block" />
+ <RegExpr context="#stay" attribute="Keyword" String="\b(switch)\b" beginRegion="Switch" />
+ <RegExpr context="#stay" attribute="Keyword" String="\b(endswitch)\b" endRegion="Switch" />
+ <RegExpr context="#stay" attribute="Keyword" String="\b(try)\b" beginRegion="Try" />
+ <RegExpr context="#stay" attribute="Keyword" String="\b(end_try_catch)\b" endRegion="Try" />
+ <!-- Catchall end keyword -->
+ <RegExpr context="#stay" attribute="Keyword" String="\b(end)\b" endRegion="block" />
+
+ <!-- Look-ahead for adjoint ' after variable, number literal, closing braces and .' -->
+ <RegExpr context="_adjoint" attribute="Variable" String="[a-zA-Z]\w*(?=')" />
+ <RegExpr context="_adjoint" attribute="Number" String="(\d+(\.\d+)?|\.\d+)([eE][+-]?\d+)?[ij]?(?=')" />
+ <RegExpr context="_adjoint" attribute="Delimiter" String="[\)\]}](?=')" />
+ <RegExpr context="_adjoint" attribute="Operator" String="\.'(?=')" />
+
+ <!-- If ' is not the adjoint operator, it starts a string or an unterminated string;
+ strings can be also with ", and accept the respective delimiter in them either
+ by doubling it ('', "") or by escaping it (\', \") -->
+ <RegExpr context="#stay" attribute="String" String="'([^'\\]|''|\\'|\\[^'])*'(?=[^']|$)" />
+ <RegExpr context="#stay" attribute="Incomplete String" String="'([^']|''|\\')*" />
+ <RegExpr context="#stay" attribute="String" String="&quot;([^&quot;\\]|&quot;&quot;|\\&quot;|\\[^&quot;])*&quot;(?=[^&quot;]|$)" />
+ <RegExpr context="#stay" attribute="Incomplete String" String="&quot;([^&quot;]|&quot;&quot;|\\&quot;)*" />
+
+ <!-- Handling of keywords, comments, functions, identifiers, numbers and braces -->
+ <keyword context="#stay" attribute="Keyword" String="keywords" />
+ <keyword context="#stay" attribute="Commands" String="commands" />
+ <keyword context="#stay" attribute="Functions" String="functions" />
+ <keyword context="#stay" attribute="Builtin" String="builtin"/>
+ <keyword context="#stay" attribute="Forge" String="forge" />
+ <RegExpr context="#stay" attribute="Comment" String="[%#].*$" />
+ <RegExpr context="#stay" attribute="Variable" String="[a-zA-Z]\w*" />
+ <RegExpr context="#stay" attribute="Number" String="(\d+(\.\d+)?|\.\d+)([eE][+-]?\d+)?[ij]?" />
+ <AnyChar context="#stay" attribute="Delimiter" String="()[]{}"/>
+
+ <!-- Three- and two-character operators -->
+ <StringDetect context="#stay" attribute="Operator" String="..."/>
+ <StringDetect context="#stay" attribute="Operator" String="=="/>
+ <StringDetect context="#stay" attribute="Operator" String="~="/>
+ <StringDetect context="#stay" attribute="Operator" String="!="/>
+ <StringDetect context="#stay" attribute="Operator" String="&lt;="/>
+ <StringDetect context="#stay" attribute="Operator" String="&gt;="/>
+ <StringDetect context="#stay" attribute="Operator" String="&lt;&gt;"/>
+ <StringDetect context="#stay" attribute="Operator" String="&amp;&amp;"/>
+ <StringDetect context="#stay" attribute="Operator" String="||"/>
+ <StringDetect context="#stay" attribute="Operator" String="++"/>
+ <StringDetect context="#stay" attribute="Operator" String="--"/>
+ <StringDetect context="#stay" attribute="Operator" String="**"/>
+ <StringDetect context="#stay" attribute="Operator" String=".*"/>
+ <StringDetect context="#stay" attribute="Operator" String=".**"/>
+ <StringDetect context="#stay" attribute="Operator" String=".^"/>
+ <StringDetect context="#stay" attribute="Operator" String="./"/>
+ <StringDetect context="#stay" attribute="Operator" String=".'"/>
+
+ <!-- Single-character operators -->
+ <AnyChar context="#stay" attribute="Operator" String="!&quot;%(*+,/;=>[]|~#&amp;)-:&lt;&gt;\^"/>
+
+ </context>
+
+
+ <!--Context entered after encountering an ' adjoint operator -->
+ <context name="_adjoint" attribute="Operator" lineEndContext="#pop">
+ <RegExpr context="#pop" attribute="Operator" String="'+" />
+ </context>
+
+
+ </contexts>
+
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal" />
+ <itemData name="Variable" defStyleNum="dsNormal" />
+ <itemData name="Operator" defStyleNum="dsNormal"/>
+ <itemData name="Number" defStyleNum="dsFloat" />
+ <itemData name="Delimiter" defStyleNum="dsNormal" />
+ <itemData name="String" defStyleNum="dsString" color="#b20000"/>
+ <itemData name="String Char" defStyleNum="dsChar"/>
+ <itemData name="Incomplete String" defStyleNum="dsChar" color="#a020f0"/>
+ <itemData name="Keyword" defStyleNum="dsNormal" color="#0000ff"/>
+ <itemData name="Comment" defStyleNum="dsComment" color="#009900"/>
+ <itemData name="Functions" defStyleNum="dsFunction" color="#0000ff" selColor="#00ff00" bold="1" italic="1" />
+ <itemData name="Forge" defStyleNum="dsFunction" color="#000099" selColor="#009900" bold="1" italic="1" />
+ <itemData name="Builtin" defStyleNum="dsBaseN" color="#b28c00" />
+ <itemData name="Commands" defStyleNum="dsFunction" color="#b28c00" />
+ </itemDatas>
+
+ </highlighting>
+
+ <general>
+ <comments>
+ <comment name="singleLine" start="%" />
+ <comment name="singleLine" start="#" />
+ </comments>
+ <keywords casesensitive="1"/>
+ </general>
+
+</language>
+<!-- kate: space-indent on; indent-width 2; replace-tabs on; -->