From bf4e405e03859bf383f55715944f4f71bd338c34 Mon Sep 17 00:00:00 2001 From: Michele Calgaro Date: Thu, 9 Jan 2014 00:24:34 +0100 Subject: Update kate syntax highlight files --- kate/data/chicken.xml | 437 ++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 437 insertions(+) create mode 100644 kate/data/chicken.xml (limited to 'kate/data/chicken.xml') diff --git a/kate/data/chicken.xml b/kate/data/chicken.xml new file mode 100644 index 000000000..ad573ae18 --- /dev/null +++ b/kate/data/chicken.xml @@ -0,0 +1,437 @@ + + + + + + + <= + < + = + => + >= + > + - + / + *,* + *) + + + + + #\nul + #\soh + #\stx + #\etx + #\eot + #\enq + #\ack + #\bel + #\bs + #\ht + #\nl + #\vt + #\np + #\cr + #\so + #\si + #\dle + #\dc1 + #\dc2 + #\dc3 + #\dc4 + #\nak + #\syn + #\etb + #\can + #\em + #\sub + #\esc + #\fs + #\gs + #\rs + #\us + #\space + #\sp + #\newline + #\nl + #\tab + #\ht + #\backspace + #\bs + #\return + #\cr + #\page + #\np + #\null + #\nul + + + define + define* + define-accessor + define-class + defined? + define-generic + define-macro + define-method + define-module + define-private + define-public + define*-public + define-reader-ctor + define-syntax + define-syntax-macro + defmacro + defmacro* + defmacro*-public + + + abs + acos + and + angle + append + applymap + asin + assoc + assq + assv + atan + begin + boolean? + break + caaaar + caaadr + caaar + caadar + caaddr + caadr + caar + cadaar + cadadr + cadar + caddar + cadddr + caddr + cadr + call/cc + call-with-current-continuation + call-with-input-file + call-with-output-file + call-with-values + car + case + catch + cdaaar + cdaadr + cdaar + cdadar + cdaddr + cdadr + cdar + cddaar + cddadr + cddar + cdddar + cddddr + cdddr + cddr + cdr + ceiling + char-alphabetic? + char-ci>=? + char-ci>? + char-ci=? + char-ci<=? + char-downcase + char->integer + char>=? + char>? + char=? + char? + char-lower-case? + char<?c + char<=? + char-numeric? + char-ready? + char-upcase + char-upper-case? + char-whitespace? + close-input-port + close-output-port + complex? + cond + cons + continue + cos + current-input-port + current-output-port + denominator + display + do + dynamic-wind + else + eof-object? + eq? + equal? + eqv? + eval + even? + exact->inexact + exact? + exp + expt + floor + force + for-each + gcd + har-ci<? + if + imag-part + inexact->exact + inexact? + input-port? + integer->char + integer? + interaction-environment + lambda + lcm + length + let + let* + letrec + letrec-syntax + let-syntax + list->string + list + list? + list-ref + list-tail + load + log + magnitude + make-polar + make-rectangular + make-string + make-vector + max + member + memq + memv + min + modulo + negative? + newline + not + null-environment + null? + number? + number->string + numerator + odd? + open-input-file + open-output-file + or + output-port? + pair? + peek-char + port? + positive? + procedure? + quotient + rational? + rationalize + read-char + read + real? + real-part + remainder + reverse + round + scheme-report-environment + set-car! + set-cdr! + sin + sqrt + string-append + string-ci>=? + string-ci>? + string-ci=? + string-ci<=? + string-ci<? + string-copy + string-fill! + string>=? + string>? + string->list + string->number + string->symbol + string=? + string + string? + string-length + string<=? + string<? + string-ref + string-set! + substring + symbol->string + symbol? + syntax-rules + tan + transcript-off + transcript-on + truncate + values + vector-fill! + vector->listlist->vector + vector + vector? + vector-length + vector-ref + vector-set! + while + with-input-from-file + with-output-to-file + write-char + write + zero? + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file -- cgit v1.2.1