From 7439a4b0e05832e047040ab8bf9ef13abd9288b2 Mon Sep 17 00:00:00 2001 From: Timothy Pearson Date: Wed, 11 Jan 2012 16:14:23 -0600 Subject: Update kate synatax highlighting files This closes Bug 764 --- kate/data/fortran.xml | 33 ++++++++++++++++++++++----------- 1 file changed, 22 insertions(+), 11 deletions(-) (limited to 'kate/data/fortran.xml') diff --git a/kate/data/fortran.xml b/kate/data/fortran.xml index 948267fc9..4a83a703a 100644 --- a/kate/data/fortran.xml +++ b/kate/data/fortran.xml @@ -1,6 +1,6 @@ - + @@ -13,17 +13,17 @@ call case common - contains + continue cycle deallocate default - do + forall where elsewhere - elseif - else + + equivalence exit external @@ -51,7 +51,7 @@ select selectcase stop - then + to use only @@ -380,8 +380,9 @@ - + + @@ -458,13 +459,23 @@ - + + + - - - + + + + + + + + + + + -- cgit v1.2.1