From b956734b51a178ba083848672b006aa325217381 Mon Sep 17 00:00:00 2001 From: Michele Calgaro Date: Sun, 3 Mar 2019 21:48:37 +0900 Subject: Update Kate syntax highlight files from http://kate-editor.org/syntax/2.5/ sources. Signed-off-by: Michele Calgaro --- kate/data/julia.xml | 206 ++++++++++++++++++++++++++-------------------------- 1 file changed, 103 insertions(+), 103 deletions(-) (limited to 'kate/data/julia.xml') diff --git a/kate/data/julia.xml b/kate/data/julia.xml index 9fe825fef..aa0b9804b 100644 --- a/kate/data/julia.xml +++ b/kate/data/julia.xml @@ -29,117 +29,117 @@ - + - begin - do - for - function - if - let - quote - try - type - while + begin + do + for + function + if + let + quote + try + type + while - catch - else - elseif + catch + else + elseif - end + end - abstract - bitstype - break - ccall - const - continue - export - global - import - in - local - macro - module - return - typealias + abstract + bitstype + break + ccall + const + continue + export + global + import + in + local + macro + module + return + typealias - AbstractArray - AbstractMatrix - AbstractVector - Any - Array - ASCIIString - Associative - Bool - ByteString - Char - Complex - Complex64 - Complex128 - ComplexPair - DArray - Dict - Exception - Expr - Float - Float32 - Float64 - Function - ObjectIdDict - Int - Int8 - Int16 - Int32 - Int64 - Integer - IntSet - IO - IOStream - Matrix - Nothing - None - NTuple - Number - Ptr - Range - Range1 - Ranges - Rational - Real - Regex - RegexMatch - Set - Signed - StridedArray - StridedMatrix - StridedVecOrMat - StridedVector - String - SubArray - SubString - Symbol - Task - Tuple - Type - Uint - Uint8 - Uint16 - Uint32 - Uint64 - Union - Unsigned - UTF8String - VecOrMat - Vector - Void - WeakRef + AbstractArray + AbstractMatrix + AbstractVector + Any + Array + ASCIIString + Associative + Bool + ByteString + Char + Complex + Complex64 + Complex128 + ComplexPair + DArray + Dict + Exception + Expr + Float + Float32 + Float64 + Function + ObjectIdDict + Int + Int8 + Int16 + Int32 + Int64 + Integer + IntSet + IO + IOStream + Matrix + Nothing + None + NTuple + Number + Ptr + Range + Range1 + Ranges + Rational + Real + Regex + RegexMatch + Set + Signed + StridedArray + StridedMatrix + StridedVecOrMat + StridedVector + String + SubArray + SubString + Symbol + Task + Tuple + Type + Uint + Uint8 + Uint16 + Uint32 + Uint64 + Union + Unsigned + UTF8String + VecOrMat + Vector + Void + WeakRef @@ -225,13 +225,13 @@ endRegion="block" /> - + - + - + -- cgit v1.2.1