From 7439a4b0e05832e047040ab8bf9ef13abd9288b2 Mon Sep 17 00:00:00 2001 From: Timothy Pearson Date: Wed, 11 Jan 2012 16:14:23 -0600 Subject: Update kate synatax highlighting files This closes Bug 764 --- kate/data/relaxng.xml | 117 ++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 117 insertions(+) create mode 100644 kate/data/relaxng.xml (limited to 'kate/data/relaxng.xml') diff --git a/kate/data/relaxng.xml b/kate/data/relaxng.xml new file mode 100644 index 000000000..974f37ff5 --- /dev/null +++ b/kate/data/relaxng.xml @@ -0,0 +1,117 @@ + + + +]> + + + + + + + anyName + attribute + choice + data + define + div + element + empty + except + externalRef + grammar + group + include + interleave + list + mixed + name + notAllowed + nsName + oneOrMore + optional + param + parentRef + ref + start + text + value + zeroOrMore + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + -- cgit v1.2.1