From 7439a4b0e05832e047040ab8bf9ef13abd9288b2 Mon Sep 17 00:00:00 2001 From: Timothy Pearson Date: Wed, 11 Jan 2012 16:14:23 -0600 Subject: Update kate synatax highlighting files This closes Bug 764 --- kate/data/verilog.xml | 105 +++++++++++++++++++++++++++++--------------------- 1 file changed, 61 insertions(+), 44 deletions(-) (limited to 'kate/data/verilog.xml') diff --git a/kate/data/verilog.xml b/kate/data/verilog.xml index ffb5bac35..12619f2e8 100644 --- a/kate/data/verilog.xml +++ b/kate/data/verilog.xml @@ -1,24 +1,14 @@ - + macromodule - task - endtask - function - endfunction table endtable specify specparam endspecify - case - casex - casez - endcase - fork - join defparam default @@ -42,6 +32,38 @@ edge posedge negedge + + + config + endconfig + library + design + liblist + cell + use + instance + + + + begin + fork + module + case + casex + casez + task + function + generate + + + + end + join + endmodule + endcase + endtask + endfunction + endgenerate @@ -118,36 +140,26 @@ parameter event - - - - begin - - - end - - - module - - - endmodule + + signed + automatic + genvar + localparam - - - - - + + + + + + - - - @@ -155,19 +167,19 @@ - + + - + - - + @@ -175,11 +187,11 @@ - + - - + + @@ -193,12 +205,16 @@ - + - + + + + + @@ -227,13 +243,14 @@ - - + + + - + -- cgit v1.2.1