From b956734b51a178ba083848672b006aa325217381 Mon Sep 17 00:00:00 2001 From: Michele Calgaro Date: Sun, 3 Mar 2019 21:48:37 +0900 Subject: Update Kate syntax highlight files from http://kate-editor.org/syntax/2.5/ sources. Signed-off-by: Michele Calgaro --- kate/data/verilog.xml | 247 +++++++++++++++++++++++++------------------------- 1 file changed, 121 insertions(+), 126 deletions(-) (limited to 'kate/data/verilog.xml') diff --git a/kate/data/verilog.xml b/kate/data/verilog.xml index 36a778cfc..9f113b432 100644 --- a/kate/data/verilog.xml +++ b/kate/data/verilog.xml @@ -1,150 +1,150 @@ - + - macromodule - table - endtable - specify - specparam - endspecify - - defparam - default - if - ifnone - else - forever - while - for - wait - repeat - disable - - assign - deassign - force - release - - always - initial - edge - posedge - negedge + macromodule + table + endtable + specify + specparam + endspecify + + defparam + default + if + ifnone + else + forever + while + for + wait + repeat + disable + + assign + deassign + force + release + + always + initial + edge + posedge + negedge - config - endconfig - library - design - liblist - cell - use - instance + config + endconfig + library + design + liblist + cell + use + instance - begin - fork - module - case - casex - casez - task - function - generate + begin + fork + module + case + casex + casez + task + function + generate - end - join - endmodule - endcase - endtask - endfunction - endgenerate + end + join + endmodule + endcase + endtask + endfunction + endgenerate - strong0 - strong1 - pull0 - pull1 - weak0 - weak1 - highz0 - highz1 + strong0 + strong1 + pull0 + pull1 + weak0 + weak1 + highz0 + highz1 - small - medium - large + small + medium + large - pullup - pulldown - cmos - rcmos - nmos - pmos - rnmos - rpmos - and - nand - or - nor - xor - xnor - not - buf - tran - rtran - tranif0 - tranif1 - rtranif0 - rtranif1 - bufif0 - bufif1 - notif0 - notif1 + pullup + pulldown + cmos + rcmos + nmos + pmos + rnmos + rpmos + and + nand + or + nor + xor + xnor + not + buf + tran + rtran + tranif0 + tranif1 + rtranif0 + rtranif1 + bufif0 + bufif1 + notif0 + notif1 - input - output - inout + input + output + inout - wire - tri - tri0 - tri1 - wand - wor - triand - trior - supply0 - supply1 + wire + tri + tri0 + tri1 + wand + wor + triand + trior + supply0 + supply1 - reg - integer - real - realtime - time + reg + integer + real + realtime + time - vectored - scalared - trireg + vectored + scalared + trireg - parameter - event + parameter + event - signed - automatic - genvar - localparam + signed + automatic + genvar + localparam @@ -204,18 +204,14 @@ - - - - - + @@ -232,7 +228,6 @@ - @@ -243,7 +238,7 @@ - + -- cgit v1.2.1