summaryrefslogtreecommitdiffstats
path: root/fpga/gpmc/xilinx/common/lcd_data_storage.v
diff options
context:
space:
mode:
Diffstat (limited to 'fpga/gpmc/xilinx/common/lcd_data_storage.v')
-rw-r--r--fpga/gpmc/xilinx/common/lcd_data_storage.v44
1 files changed, 44 insertions, 0 deletions
diff --git a/fpga/gpmc/xilinx/common/lcd_data_storage.v b/fpga/gpmc/xilinx/common/lcd_data_storage.v
new file mode 100644
index 0000000..c1f3559
--- /dev/null
+++ b/fpga/gpmc/xilinx/common/lcd_data_storage.v
@@ -0,0 +1,44 @@
+`timescale 1ns / 1ps
+//////////////////////////////////////////////////////////////////////////////////
+//
+// (c) 2014 Timothy Pearson, Raptor Engineering
+// Released into the Public Domain
+//
+//////////////////////////////////////////////////////////////////////////////////
+
+module lcd_data_storage(
+ input clka,
+ input clkb,
+ input [7:0] dina,
+ input [7:0] dinb,
+ input [4:0] addra,
+ input [4:0] addrb,
+ input wea,
+ input web,
+ output reg [7:0] douta,
+ output reg [7:0] doutb);
+
+ parameter RAM_WIDTH = 8;
+
+ // Xilinx specific directive
+ (* RAM_STYLE="BLOCK" *)
+
+ reg [RAM_WIDTH-1:0] data_storage_ram [(2**5)-1:0];
+
+ always @(posedge clka) begin
+ douta <= data_storage_ram[addra];
+ if (wea) begin
+ data_storage_ram[addra] <= dina;
+ douta <= dina;
+ end
+ end
+
+ always @(posedge clkb) begin
+ doutb <= data_storage_ram[addrb];
+ if (web) begin
+ data_storage_ram[addrb] <= dinb;
+ doutb <= dinb;
+ end
+ end
+
+endmodule